999fc47072284746987971ef70d7620bff21249d
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

1) LIBRARY ieee;
2) USE ieee.std_logic_1164.all;
3) USE ieee.numeric_std.all;
4) USE work.mips_types.all;
5) 
6) ENTITY e_mips_core IS
7)     PORT (
Stefan Schuermans implemented simple store in...

Stefan Schuermans authored 12 years ago

8)         rst:            IN  std_logic;
9)         clk:            IN  std_logic;
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

10)         i_stall:        IN  std_logic;
Stefan Schuermans implemented simple store in...

Stefan Schuermans authored 12 years ago

11)         o_instr_addr:   OUT std_logic_vector(31 DOWNTO 0);
12)         i_instr_data:   IN  std_logic_vector(31 DOWNTO 0);
13)         o_data_addr:    OUT std_logic_vector(31 DOWNTO 0);
14)         i_data_rd_data: IN  std_logic_vector(31 DOWNTO 0);
15)         o_data_wr_data: OUT std_logic_vector(31 DOWNTO 0);
16)         o_data_wr_en:   OUT std_logic_vector( 3 DOWNTO 0)
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

17)     );
18) END ENTITY e_mips_core;
19) 
20) ARCHITECTURE a_mips_core OF e_mips_core IS
21) 
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

22)     SIGNAL s_stall:         std_logic;
23)     SIGNAL s_stall_data_rd: std_logic;
24) 
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

25)     SIGNAL r_pc: std_logic_vector(31 DOWNTO 0);
26)     SIGNAL n_pc: std_logic_vector(31 DOWNTO 0);
27) 
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

28)     SIGNAL s_instr: std_logic_vector(31 DOWNTO 0);
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

29) 
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

30)     SIGNAL n_reg_s:  std_logic_vector( 4 DOWNTO 0);
31)     SIGNAL n_reg_t:  std_logic_vector( 4 DOWNTO 0);
32)     SIGNAL n_reg_d:  std_logic_vector( 4 DOWNTO 0);
33)     SIGNAL n_imm_a:  std_logic_vector( 4 DOWNTO 0);
34)     SIGNAL n_imm_16: std_logic_vector(15 DOWNTO 0);
35)     SIGNAL n_imm_26: std_logic_vector(25 DOWNTO 0);
36)     SIGNAL n_op:     t_op;
37)     SIGNAL n_link:   t_link;
38)     SIGNAL n_cmp:    t_cmp;
39)     SIGNAL n_alu:    t_alu;
40)     SIGNAL n_imm:    t_imm;
Stefan Schuermans added decoding of simple lo...

Stefan Schuermans authored 12 years ago

41)     SIGNAL n_ldst:   t_ldst;
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

42) 
43)     SIGNAL r_reg_s:  std_logic_vector( 4 DOWNTO 0);
44)     SIGNAL r_reg_t:  std_logic_vector( 4 DOWNTO 0);
45)     SIGNAL r_reg_d:  std_logic_vector( 4 DOWNTO 0);
46)     SIGNAL r_imm_a:  std_logic_vector( 4 DOWNTO 0);
47)     SIGNAL r_imm_16: std_logic_vector(15 DOWNTO 0);
48)     SIGNAL r_imm_26: std_logic_vector(25 DOWNTO 0);
49)     SIGNAL r_op:     t_op;
50)     SIGNAL r_link:   t_link;
51)     SIGNAL r_cmp:    t_cmp;
52)     SIGNAL r_alu:    t_alu;
53)     SIGNAL r_imm:    t_imm;
Stefan Schuermans added decoding of simple lo...

Stefan Schuermans authored 12 years ago

54)     SIGNAL r_ldst:   t_ldst;
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

55) 
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

56)     SIGNAL s_val_s: std_logic_vector(31 DOWNTO 0);
57)     SIGNAL s_val_t: std_logic_vector(31 DOWNTO 0);
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

58) 
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

59)     SIGNAL s_alu_op1: std_logic_vector(31 DOWNTO 0);
60)     SIGNAL s_alu_op2: std_logic_vector(31 DOWNTO 0);
61)     SIGNAL s_alu_res: std_logic_vector(31 DOWNTO 0);
62) 
63)     SIGNAL s_cmp_op1: std_logic_vector(31 DOWNTO 0);
64)     SIGNAL s_cmp_op2: std_logic_vector(31 DOWNTO 0);
65)     SIGNAL s_cmp_res: std_logic;
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

66) 
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

67)     SIGNAL s_reg_wr_alu_no:   std_logic_vector( 4 DOWNTO 0);
68)     SIGNAL s_reg_wr_alu_data: std_logic_vector(31 DOWNTO 0);
69)     SIGNAL s_reg_wr_alu_en:   std_logic;
70) 
71)     SIGNAL s_reg_wr_data_no:   std_logic_vector( 4 DOWNTO 0);
72)     SIGNAL s_reg_wr_data_data: std_logic_vector(31 DOWNTO 0);
73)     SIGNAL s_reg_wr_data_en:   std_logic;
74) 
Stefan Schuermans implemented M T/F HI/LO

Stefan Schuermans authored 12 years ago

75)     SIGNAL s_reg_wr_hi_lo_no:   std_logic_vector( 4 DOWNTO 0);
76)     SIGNAL s_reg_wr_hi_lo_data: std_logic_vector(31 DOWNTO 0);
77)     SIGNAL s_reg_wr_hi_lo_en:   std_logic;
78) 
Stefan Schuermans implemented ... and link ->...

Stefan Schuermans authored 12 years ago

79)     SIGNAL s_reg_wr_link_no:   std_logic_vector( 4 DOWNTO 0);
80)     SIGNAL s_reg_wr_link_data: std_logic_vector(31 DOWNTO 0);
81)     SIGNAL s_reg_wr_link_en:   std_logic;
82) 
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

83)     SIGNAL s_reg_wr_no:   std_logic_vector( 4 DOWNTO 0);
84)     SIGNAL s_reg_wr_data: std_logic_vector(31 DOWNTO 0);
85)     SIGNAL s_reg_wr_en:   std_logic;
86) 
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

87)     SIGNAL s_data_addr: std_logic_vector(31 DOWNTO 0);
88) 
89)     TYPE t_data_rd IS (data_rd_idle, data_rd_read);
90)     SIGNAL n_data_rd: t_data_rd;
Stefan Schuermans implemented multiplier

Stefan Schuermans authored 12 years ago

91)     SIGNAL r_data_rd: t_data_rd;
Stefan Schuermans implemented simple store in...

Stefan Schuermans authored 12 years ago

92) 
Stefan Schuermans implemented M T/F HI/LO

Stefan Schuermans authored 12 years ago

93)     SIGNAL n_reg_lo: std_logic_vector(31 DOWNTO 0);
94)     SIGNAL n_reg_hi: std_logic_vector(31 DOWNTO 0);
95)     SIGNAL r_reg_lo: std_logic_vector(31 DOWNTO 0);
96)     SIGNAL r_reg_hi: std_logic_vector(31 DOWNTO 0);
97) 
Stefan Schuermans moved multiplier to own module

Stefan Schuermans authored 12 years ago

98)     SIGNAL s_mul_signed: std_logic;
99)     SIGNAL s_mul_start:  std_logic;
100)     SIGNAL s_mul_busy:   std_logic;
101)     SIGNAL s_mul_res:    std_logic_vector(63 DOWNTO 0);
Stefan Schuermans implemented multiplier

Stefan Schuermans authored 12 years ago

102) 
Stefan Schuermans implemented divider

Stefan Schuermans authored 12 years ago

103)     SIGNAL s_div_signed: std_logic;
104)     SIGNAL s_div_start:  std_logic;
105)     SIGNAL s_div_busy:   std_logic;
106)     SIGNAL s_div_res:    std_logic_vector(31 DOWNTO 0);
107)     SIGNAL s_div_rem:    std_logic_vector(31 DOWNTO 0);
108) 
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

109)     COMPONENT e_mips_decoder IS
110)         PORT (
111)             i_instr:  IN  std_logic_vector(31 DOWNTO 0);
Stefan Schuermans rename src/dest to more gen...

Stefan Schuermans authored 12 years ago

112)             o_reg_s:  OUT std_logic_vector( 4 DOWNTO 0);
113)             o_reg_t:  OUT std_logic_vector( 4 DOWNTO 0);
114)             o_reg_d:  OUT std_logic_vector( 4 DOWNTO 0);
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

115)             o_imm_a:  OUT std_logic_vector( 4 DOWNTO 0);
116)             o_imm_16: OUT std_logic_vector(15 DOWNTO 0);
117)             o_imm_26: OUT std_logic_vector(25 DOWNTO 0);
118)             o_op:     OUT t_op;
119)             o_link:   OUT t_link;
120)             o_cmp:    OUT t_cmp;
121)             o_alu:    OUT t_alu;
Stefan Schuermans added decoding of simple lo...

Stefan Schuermans authored 12 years ago

122)             o_imm:    OUT t_imm;
123)             o_ldst:   OUT t_ldst
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

124)         );
125)     END COMPONENT e_mips_decoder;
126) 
Stefan Schuermans added register file

Stefan Schuermans authored 12 years ago

127)     COMPONENT e_mips_regs IS
128)         PORT (
129)             rst:         IN  std_logic;
130)             clk:         IN  std_logic;
131)             i_rd_a_no:   IN  std_logic_vector( 4 DOWNTO 0);
132)             o_rd_a_data: OUT std_logic_vector(31 DOWNTO 0);
133)             i_rd_b_no:   IN  std_logic_vector( 4 DOWNTO 0);
134)             o_rd_b_data: OUT std_logic_vector(31 DOWNTO 0);
135)             i_wr_no:     IN  std_logic_vector( 4 DOWNTO 0);
136)             i_wr_data:   IN  std_logic_vector(31 DOWNTO 0);
137)             i_wr_en:     IN  std_logic
138)         );
139)     END COMPONENT e_mips_regs;
140) 
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

141)     COMPONENT e_mips_alu IS
142)         PORT (
143)             i_alu: IN  t_alu;
144)             i_op1: IN  std_logic_vector(31 DOWNTO 0);
145)             i_op2: IN  std_logic_vector(31 DOWNTO 0);
146)             o_res: OUT std_logic_vector(31 DOWNTO 0)
147)         );
148)     END COMPONENT e_mips_alu;
149) 
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

150)     COMPONENT e_mips_cmp IS
151)         PORT (
152)             i_cmp: IN  t_cmp;
153)             i_op1: IN  std_logic_vector(31 DOWNTO 0);
154)             i_op2: IN  std_logic_vector(31 DOWNTO 0);
155)             o_res: OUT std_logic
156)         );
157)     END COMPONENT e_mips_cmp;
158) 
Stefan Schuermans moved multiplier to own module

Stefan Schuermans authored 12 years ago

159)     COMPONENT e_mips_mul IS
160)         PORT (
161)             rst:      IN  std_logic;
162)             clk:      IN  std_logic;
163)             i_a:      IN  std_logic_vector(31 DOWNTO 0);
164)             i_b:      IN  std_logic_vector(31 DOWNTO 0);
165)             i_signed: IN  std_logic;
166)             i_start:  IN  std_logic;
167)             o_busy:   OUT std_logic;
168)             o_res:    OUT std_logic_vector(63 DOWNTO 0)
169)         );
170)     END COMPONENT e_mips_mul;
171) 
Stefan Schuermans implemented divider

Stefan Schuermans authored 12 years ago

172)     COMPONENT e_mips_div IS
173)         PORT (
174)             rst:      IN  std_logic;
175)             clk:      IN  std_logic;
176)             i_num:    IN  std_logic_vector(31 DOWNTO 0);
177)             i_denom:  IN  std_logic_vector(31 DOWNTO 0);
178)             i_signed: IN  std_logic;
179)             i_start:  IN  std_logic;
180)             o_busy:   OUT std_logic;
181)             o_res:    OUT std_logic_vector(31 DOWNTO 0);
182)             o_rem:    OUT std_logic_vector(31 DOWNTO 0)
183)         );
184)     END COMPONENT e_mips_div;
185) 
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

186) BEGIN
187) 
Stefan Schuermans implemented divider

Stefan Schuermans authored 12 years ago

188)     s_stall <= i_stall OR s_stall_data_rd OR s_mul_busy OR s_div_busy;
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

189) 
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

190)     decoder: e_mips_decoder
191)         PORT MAP (
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

192)             i_instr  => s_instr,
193)             o_reg_s  => n_reg_s,
194)             o_reg_t  => n_reg_t,
195)             o_reg_d  => n_reg_d,
196)             o_imm_a  => n_imm_a,
197)             o_imm_16 => n_imm_16,
198)             o_imm_26 => n_imm_26,
199)             o_op     => n_op,
200)             o_link   => n_link,
201)             o_cmp    => n_cmp,
202)             o_alu    => n_alu,
Stefan Schuermans added decoding of simple lo...

Stefan Schuermans authored 12 years ago

203)             o_imm    => n_imm,
204)             o_ldst   => n_ldst
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

205)         );
206) 
Stefan Schuermans added register file

Stefan Schuermans authored 12 years ago

207)     regs: e_mips_regs
208)         PORT MAP (
209)             rst         => rst,
210)             clk         => clk,
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

211)             i_rd_a_no   => r_reg_s,
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

212)             o_rd_a_data => s_val_s,
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

213)             i_rd_b_no   => r_reg_t,
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

214)             o_rd_b_data => s_val_t,
215)             i_wr_no     => s_reg_wr_no,
216)             i_wr_data   => s_reg_wr_data,
217)             i_wr_en     => s_reg_wr_en
Stefan Schuermans added register file

Stefan Schuermans authored 12 years ago

218)         );
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

219) 
220)     alu: e_mips_alu
221)         PORT MAP (
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

222)             i_alu => r_alu,
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

223)             i_op1 => s_alu_op1,
224)             i_op2 => s_alu_op2,
225)             o_res => s_alu_res
226)         );
227) 
228)     cmp: e_mips_cmp
229)         PORT MAP (
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

230)             i_cmp => r_cmp,
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

231)             i_op1 => s_cmp_op1,
232)             i_op2 => s_cmp_op2,
233)             o_res => s_cmp_res
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

234)         );
235) 
Stefan Schuermans moved multiplier to own module

Stefan Schuermans authored 12 years ago

236)     mul: e_mips_mul
237)         PORT MAP (
238)             rst      => rst,
239)             clk      => clk,
240)             i_a      => s_val_s,
241)             i_b      => s_val_t,
242)             i_signed => s_mul_signed,
243)             i_start  => s_mul_start,
244)             o_busy   => s_mul_busy,
245)             o_res    => s_mul_res
246)         );
247) 
Stefan Schuermans implemented divider

Stefan Schuermans authored 12 years ago

248)     div: e_mips_div
249)         PORT MAP (
250)             rst      => rst,
251)             clk      => clk,
252)             i_num    => s_val_s,
253)             i_denom  => s_val_t,
254)             i_signed => s_div_signed,
255)             i_start  => s_div_start,
256)             o_busy   => s_div_busy,
257)             o_res    => s_div_res,
258)             o_rem    => s_div_rem
259)         );
260) 
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

261)     p_sync_pc: PROCESS(rst, clk)
262)     BEGIN
263)         IF rst = '1' THEN
Stefan Schuermans start with PC 0

Stefan Schuermans authored 12 years ago

264)             r_pc <= X"FFFFFFFC";
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

265)         ELSIF rising_edge(clk) THEN
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

266)             IF s_stall = '0' THEN
267)                 r_pc <= n_pc;
268)             END IF;
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

269)         END IF;
270)     END PROCESS p_sync_pc;
271) 
Stefan Schuermans fixed instruction fetch dur...

Stefan Schuermans authored 12 years ago

272)     p_fetch: PROCESS(s_stall, r_pc, n_pc, i_instr_data)
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

273)     BEGIN
Stefan Schuermans fixed instruction fetch dur...

Stefan Schuermans authored 12 years ago

274)         IF s_stall = '1' THEN
275)             o_instr_addr <= r_pc;
276)         ELSE
277)             o_instr_addr <= n_pc;
278)         END IF;
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

279)         s_instr      <= i_instr_data;
280)     END PROCESS p_fetch;
281) 
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

282)     p_sync_dec2ex: PROCESS(rst, clk)
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

283)     BEGIN
284)         IF rst = '1' THEN
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

285)             r_reg_s  <= (OTHERS => '0');
286)             r_reg_t  <= (OTHERS => '0');
287)             r_reg_d  <= (OTHERS => '0');
288)             r_imm_a  <= (OTHERS => '0');
289)             r_imm_16 <= (OTHERS => '0');
290)             r_imm_26 <= (OTHERS => '0');
291)             r_op     <= op_none;
292)             r_link   <= link_none;
293)             r_cmp    <= cmp_none;
294)             r_alu    <= alu_none;
295)             r_imm    <= imm_none;
Stefan Schuermans added decoding of simple lo...

Stefan Schuermans authored 12 years ago

296)             r_ldst   <= ldst_none;
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

297)         ELSIF rising_edge(clk) THEN
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

298)             IF s_stall = '0' THEN
299)                 r_reg_s  <= n_reg_s;
300)                 r_reg_t  <= n_reg_t;
301)                 r_reg_d  <= n_reg_d;
302)                 r_imm_a  <= n_imm_a;
303)                 r_imm_16 <= n_imm_16;
304)                 r_imm_26 <= n_imm_26;
305)                 r_op     <= n_op;
306)                 r_link   <= n_link;
307)                 r_cmp    <= n_cmp;
308)                 r_alu    <= n_alu;
309)                 r_imm    <= n_imm;
310)                 r_ldst   <= n_ldst;
311)             END IF;
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

312)         END IF;
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

313)     END PROCESS p_sync_dec2ex;
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

314) 
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

315)     p_alu_in: PROCESS(r_op, r_imm, s_val_s, s_val_t, r_imm_a, r_imm_16)
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

316)     BEGIN
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

317)         s_alu_op1 <= (OTHERS => '0');
318)         s_alu_op2 <= (OTHERS => '0');
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

319)         IF r_op = op_alu THEN
320)             CASE r_imm IS
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

321)                 WHEN imm_none =>
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

322)                     s_alu_op1 <= s_val_s;
323)                     s_alu_op2 <= s_val_t;
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

324)                 WHEN imm_a =>
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

325)                     s_alu_op1(4 DOWNTO 0) <= r_imm_a;
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

326)                     s_alu_op2 <= s_val_t;
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

327)                 WHEN imm_16se =>
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

328)                     s_alu_op1 <= s_val_s;
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

329)                     s_alu_op2(15 DOWNTO 0) <= r_imm_16;
Stefan Schuermans implemented simple store in...

Stefan Schuermans authored 12 years ago

330)                     s_alu_op2(31 DOWNTO 16) <= (OTHERS => r_imm_16(15));
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

331)                 WHEN imm_16ze =>
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

332)                     s_alu_op1 <= s_val_s;
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

333)                     s_alu_op2(15 DOWNTO 0) <= r_imm_16;
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

334)                 WHEN OTHERS => NULL;
335)             END CASE;
336)         END IF;
337)     END PROCESS p_alu_in;
338) 
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

339)     p_alu_out: PROCESS(r_op, r_imm, r_reg_t, r_reg_d, s_alu_res)
340)     BEGIN
341)         s_reg_wr_alu_no   <= (OTHERS => '0');
342)         s_reg_wr_alu_data <= (OTHERS => '0');
343)         s_reg_wr_alu_en   <= '0';
344)         IF r_op = op_alu THEN
345)             CASE r_imm IS
346)                 WHEN imm_none | imm_a =>
347)                     s_reg_wr_alu_no   <= r_reg_d;
348)                     s_reg_wr_alu_data <= s_alu_res;
349)                     s_reg_wr_alu_en   <= '1';
350)                 WHEN imm_16se | imm_16ze =>
351)                     s_reg_wr_alu_no   <= r_reg_t;
352)                     s_reg_wr_alu_data <= s_alu_res;
353)                     s_reg_wr_alu_en   <= '1';
354)                 WHEN OTHERS => NULL;
355)             END CASE;
356)         END IF;
357)     END PROCESS p_alu_out;
358) 
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

359)     p_cmp_in: PROCESS(r_op, s_val_s, s_val_t)
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

360)     BEGIN
361)         s_cmp_op1 <= (OTHERS => '0');
362)         s_cmp_op2 <= (OTHERS => '0');
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

363)         IF r_op = op_j THEN
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

364)             s_cmp_op1 <= s_val_s;
365)             s_cmp_op2 <= s_val_t;
366)         END IF;
367)     END PROCESS p_cmp_in;
368) 
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

369)     p_reg_wr: PROCESS(s_stall,
370)                       s_reg_wr_alu_no, s_reg_wr_alu_data, s_reg_wr_alu_en,
Stefan Schuermans implemented M T/F HI/LO

Stefan Schuermans authored 12 years ago

371)                       s_reg_wr_data_no, s_reg_wr_data_data, s_reg_wr_data_en,
Stefan Schuermans implemented ... and link ->...

Stefan Schuermans authored 12 years ago

372)                       s_reg_wr_hi_lo_no, s_reg_wr_hi_lo_data, s_reg_wr_hi_lo_en,
373)                       s_reg_wr_link_no, s_reg_wr_link_data, s_reg_wr_link_en)
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

374)     BEGIN
375)         s_reg_wr_no   <= (OTHERS => '0');
376)         s_reg_wr_data <= (OTHERS => '0');
377)         s_reg_wr_en   <= '0';
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

378)         IF s_stall = '0' THEN
379)             IF s_reg_wr_alu_en = '1' THEN
380)                 s_reg_wr_no   <= s_reg_wr_alu_no;
381)                 s_reg_wr_data <= s_reg_wr_alu_data;
382)                 s_reg_wr_en   <= '1';
383)             ELSIF s_reg_wr_data_en = '1' THEN
384)                 s_reg_wr_no   <= s_reg_wr_data_no;
385)                 s_reg_wr_data <= s_reg_wr_data_data;
386)                 s_reg_wr_en   <= '1';
Stefan Schuermans implemented M T/F HI/LO

Stefan Schuermans authored 12 years ago

387)             ELSIF s_reg_wr_hi_lo_en = '1' THEN
388)                 s_reg_wr_no   <= s_reg_wr_hi_lo_no;
389)                 s_reg_wr_data <= s_reg_wr_hi_lo_data;
390)                 s_reg_wr_en   <= '1';
Stefan Schuermans implemented ... and link ->...

Stefan Schuermans authored 12 years ago

391)             ELSIF s_reg_wr_link_en = '1' THEN
392)                 s_reg_wr_no   <= s_reg_wr_link_no;
393)                 s_reg_wr_data <= s_reg_wr_link_data;
394)                 s_reg_wr_en   <= '1';
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

395)             END IF;
Stefan Schuermans implement correctly writing...

Stefan Schuermans authored 12 years ago

396)         END IF;
397)     END PROCESS p_reg_wr;
398) 
Stefan Schuermans implemented jump register i...

Stefan Schuermans authored 12 years ago

399)     p_next_pc: PROCESS(r_pc, r_op, r_imm, s_cmp_res, r_imm_16, r_imm_26, s_val_s)
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

400)         VARIABLE v_pc:  signed(31 DOWNTO 0);
401)         VARIABLE v_rel: signed(17 DOWNTO 0);
402)     BEGIN
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

403)         IF r_op = op_j AND s_cmp_res = '1' THEN
404)             IF r_imm = imm_26 THEN
405)                 n_pc <= r_pc(31 DOWNTO 28) & r_imm_26 & "00";
Stefan Schuermans implemented jump register i...

Stefan Schuermans authored 12 years ago

406)             ELSIF r_imm = imm_16se THEN
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

407)                 n_pc <= std_logic_vector(signed(r_pc) +
408)                                          signed(r_imm_16 & "00"));
Stefan Schuermans implemented jump register i...

Stefan Schuermans authored 12 years ago

409)             ELSE
410)                 n_pc <= s_val_s;
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

411)             END IF;
Stefan Schuermans implement jump logic, imple...

Stefan Schuermans authored 12 years ago

412)         ELSE
413)             n_pc <= std_logic_vector(signed(r_pc) + to_signed(4, 32));
Stefan Schuermans compare unit, initial PC ideas

Stefan Schuermans authored 12 years ago

414)         END IF;
415)     END PROCESS p_next_pc;
416) 
Stefan Schuermans implemented simple store in...

Stefan Schuermans authored 12 years ago

417)     p_data_addr: PROCESS(r_op, s_val_s, r_imm_16)
418)         VARIABLE v_ofs: signed(31 DOWNTO 0);
419)         VARIABLE v_addr: signed(31 DOWNTO 0);
420)     BEGIN
421)         s_data_addr <= (OTHERS => '0');
422)         IF r_op = op_l OR r_op = op_s THEN
423)             v_ofs(15 DOWNTO 0)  := signed(r_imm_16);
424)             v_ofs(31 DOWNTO 16) := (OTHERS => r_imm_16(15));
425)             v_addr              := signed(s_val_s) + v_ofs;
426)             s_data_addr         <= std_logic_vector(v_addr);
427)         END IF;
428)     END PROCESS p_data_addr;
429) 
430)     o_data_addr <= s_data_addr(31 DOWNTO 2) & "00";
431) 
Stefan Schuermans implementation of LWL, LWR

Stefan Schuermans authored 12 years ago

432)     p_data_rd: PROCESS(r_data_rd, r_op, r_ldst, s_data_addr, r_reg_t, i_data_rd_data, s_val_t)
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

433)         VARIABLE v_b: std_logic_vector( 7 DOWNTO 0);
434)         VARIABLE v_h: std_logic_vector(15 DOWNTO 0);
Stefan Schuermans implementation of LWL, LWR

Stefan Schuermans authored 12 years ago

435)         VARIABLE v_w: std_logic_vector(31 DOWNTO 0);
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

436)     BEGIN
437)         s_stall_data_rd    <= '0';
438)         n_data_rd          <= data_rd_idle;
439)         s_reg_wr_data_no   <= (OTHERS => '0');
440)         s_reg_wr_data_data <= (OTHERS => '0');
441)         s_reg_wr_data_en   <= '0';
442)         CASE r_data_rd IS
443)             WHEN data_rd_idle =>
444)                 IF r_op = op_l THEN
445)                     s_stall_data_rd <= '1';
446)                     n_data_rd <= data_rd_read;
447)                 END IF;
448)             WHEN data_rd_read =>
449)                 CASE r_ldst IS
450)                     WHEN ldst_b | ldst_bu =>
451)                         CASE s_data_addr(1 DOWNTO 0) IS
452)                             WHEN "00" => v_b := i_data_rd_data( 7 DOWNTO  0);
453)                             WHEN "01" => v_b := i_data_rd_data(15 DOWNTO  8);
454)                             WHEN "10" => v_b := i_data_rd_data(23 DOWNTO 16);
455)                             WHEN "11" => v_b := i_data_rd_data(31 DOWNTO 24);
456)                             WHEN OTHERS => NULL;
457)                         END CASE;
458)                         s_reg_wr_data_data(7 DOWNTO 0) <= v_b;
459)                         IF r_ldst = ldst_b THEN
460)                             s_reg_wr_data_data(31 DOWNTO 8) <= (OTHERS => v_b(7));
461)                         END IF;
462)                     WHEN ldst_h | ldst_hu =>
463)                         CASE s_data_addr(1 DOWNTO 1) IS
464)                             WHEN "0" => v_h := i_data_rd_data(15 DOWNTO  0);
465)                             WHEN "1" => v_h := i_data_rd_data(31 DOWNTO 16);
466)                             WHEN OTHERS => NULL;
467)                         END CASE;
468)                         s_reg_wr_data_data(15 DOWNTO 0) <= v_h;
469)                         IF r_ldst = ldst_h THEN
470)                             s_reg_wr_data_data(31 DOWNTO 16) <= (OTHERS => v_h(15));
471)                         END IF;
472)                     WHEN ldst_w =>
473)                         s_reg_wr_data_data <= i_data_rd_data;
Stefan Schuermans implementation of LWL, LWR

Stefan Schuermans authored 12 years ago

474)                     WHEN ldst_wl =>
475)                         v_w := s_val_t;
476)                         CASE s_data_addr(1 DOWNTO 0) IS
477)                             WHEN "00" => v_w(31 DOWNTO 24) := i_data_rd_data( 7 DOWNTO 0);
478)                             WHEN "01" => v_w(31 DOWNTO 16) := i_data_rd_data(15 DOWNTO 0);
479)                             WHEN "10" => v_w(31 DOWNTO  8) := i_data_rd_data(23 DOWNTO 0);
480)                             WHEN "11" => v_w(31 DOWNTO  0) := i_data_rd_data(31 DOWNTO 0);
481)                             WHEN OTHERS => NULL;
482)                         END CASE;
483)                         s_reg_wr_data_data <= v_w;
484)                     WHEN ldst_wr =>
485)                         v_w := s_val_t;
486)                         CASE s_data_addr(1 DOWNTO 0) IS
487)                             WHEN "00" => v_w(31 DOWNTO  0) := i_data_rd_data(31 DOWNTO  0);
488)                             WHEN "01" => v_w(23 DOWNTO  0) := i_data_rd_data(31 DOWNTO  8);
489)                             WHEN "10" => v_w(15 DOWNTO  0) := i_data_rd_data(31 DOWNTO 16);
490)                             WHEN "11" => v_w( 7 DOWNTO  0) := i_data_rd_data(31 DOWNTO 24);
491)                             WHEN OTHERS => NULL;
492)                         END CASE;
493)                         s_reg_wr_data_data <= v_w;
Stefan Schuermans implemented simple load ins...

Stefan Schuermans authored 12 years ago

494)                     WHEN OTHERS => NULL;
495)                 END CASE;
496)                 s_reg_wr_data_no <= r_reg_t;
497)                 s_reg_wr_data_en <= '1';
498)             WHEN OTHERS => NULL;
499)         END CASE;
500)     END PROCESS p_data_rd;
501) 
502)     p_sync_data_rd: PROCESS(rst, clk)
503)     BEGIN
504)         IF rst = '1' THEN
505)             r_data_rd <= data_rd_idle;
506)         ELSIF rising_edge(clk) THEN
507)             IF i_stall = '0' THEN
508)                 r_data_rd <= n_data_rd;
509)             END IF;
510)         END IF;
511)     END PROCESS p_sync_data_rd;
512) 
Stefan Schuermans implemented simple store in...

Stefan Schuermans authored 12 years ago

513)     p_data_wr: PROCESS(r_op, r_ldst, s_data_addr, s_val_t)
514)     BEGIN
515)         o_data_wr_data <= (OTHERS => '0');
516)         o_data_wr_en   <= "0000";
517)         IF r_op = op_s THEN
518)             CASE r_ldst IS
519)                 WHEN ldst_b =>
520)                     CASE s_data_addr(1 DOWNTO 0) IS
521)                         WHEN "00" =>
522)                             o_data_wr_data( 7 DOWNTO  0) <= s_val_t(7 DOWNTO 0);
523)                             o_data_wr_en                 <= "0001";
524)                         WHEN "01" =>
525)                             o_data_wr_data(15 DOWNTO  8) <= s_val_t(7 DOWNTO 0);
526)                             o_data_wr_en                 <= "0010";
527)                         WHEN "10" =>
528)                             o_data_wr_data(23 DOWNTO 16) <= s_val_t(7 DOWNTO 0);
529)                             o_data_wr_en                 <= "0100";
530)                         WHEN "11" =>
531)                             o_data_wr_data(31 DOWNTO 24) <= s_val_t(7 DOWNTO 0);
532)                             o_data_wr_en                 <= "1000";
533)                         WHEN OTHERS => NULL;
534)                     END CASE;
535)                 WHEN ldst_h =>
536)                     CASE s_data_addr(1 DOWNTO 1) IS
537)                         WHEN "0" =>
538)                             o_data_wr_data(15 DOWNTO  0) <= s_val_t(15 DOWNTO 0);
539)                             o_data_wr_en                 <= "0011";
540)                         WHEN "1" =>
541)                             o_data_wr_data(31 DOWNTO 16) <= s_val_t(15 DOWNTO 0);
542)                             o_data_wr_en                 <= "1100";
543)                         WHEN OTHERS => NULL;
544)                     END CASE;
545)                 WHEN ldst_w =>
546)                     o_data_wr_data <= s_val_t;
547)                     o_data_wr_en   <= "1111";
Stefan Schuermans implemented M T/F HI/LO

Stefan Schuermans authored 12 years ago

548)                 WHEN ldst_wl =>
549)                     CASE s_data_addr(1 DOWNTO 0) IS
550)                         WHEN "00" =>
551)                             o_data_wr_data( 7 DOWNTO 0) <= s_val_t(31 DOWNTO 24);
552)                             o_data_wr_en                <= "0001";
553)                         WHEN "01" =>
554)                             o_data_wr_data(15 DOWNTO 0) <= s_val_t(31 DOWNTO 16);
555)                             o_data_wr_en                <= "0011";
556)                         WHEN "10" =>
557)                             o_data_wr_data(23 DOWNTO 0) <= s_val_t(31 DOWNTO  8);
558)                             o_data_wr_en                <= "0111";
559)                         WHEN "11" =>
560)                             o_data_wr_data(31 DOWNTO 0) <= s_val_t(31 DOWNTO  0);
561)                             o_data_wr_en                <= "1111";
562)                         WHEN OTHERS => NULL;
563)                     END CASE;
564)                 WHEN ldst_wr =>
565)                     CASE s_data_addr(1 DOWNTO 0) IS
566)                         WHEN "00" =>
567)                             o_data_wr_data(31 DOWNTO  0) <= s_val_t(31 DOWNTO 0);
568)                             o_data_wr_en                 <= "1111";
569)                         WHEN "01" =>
570)                             o_data_wr_data(31 DOWNTO  8) <= s_val_t(23 DOWNTO 0);
571)                             o_data_wr_en                 <= "1110";
572)                         WHEN "10" =>
573)                             o_data_wr_data(31 DOWNTO 16) <= s_val_t(15 DOWNTO 0);
574)                             o_data_wr_en                 <= "1100";
575)                         WHEN "11" =>
576)                             o_data_wr_data(31 DOWNTO 24) <= s_val_t( 7 DOWNTO 0);
577)                             o_data_wr_en                 <= "1000";
578)                         WHEN OTHERS => NULL;
579)                     END CASE;
Stefan Schuermans implemented simple store in...

Stefan Schuermans authored 12 years ago

580)                 WHEN OTHERS => NULL;
581)             END CASE;
582)         END IF;
583)     END PROCESS p_data_wr;
Stefan Schuermans start of MIPS core: begin o...

Stefan Schuermans authored 12 years ago

584) 
Stefan Schuermans implemented divider

Stefan Schuermans authored 12 years ago

585)     p_reg_hi_lo: PROCESS(r_reg_lo, r_reg_hi, r_op, r_reg_d, s_val_s,
586)                          s_mul_res, s_div_res, s_div_rem)
Stefan Schuermans implemented M T/F HI/LO

Stefan Schuermans authored 12 years ago

587)     BEGIN
588)         n_reg_lo            <= r_reg_lo;
589)         n_reg_hi            <= r_reg_hi;
590)         s_reg_wr_hi_lo_no   <= (OTHERS => '0');
591)         s_reg_wr_hi_lo_data <= (OTHERS => '0');
592)         s_reg_wr_hi_lo_en   <= '0';
593)         CASE r_op IS
594)             WHEN op_mfhi =>
595)                 s_reg_wr_hi_lo_no   <= r_reg_d;
596)                 s_reg_wr_hi_lo_data <= r_reg_hi;
597)                 s_reg_wr_hi_lo_en   <= '1';            
598)             WHEN op_mflo =>
599)                 s_reg_wr_hi_lo_no   <= r_reg_d;
600)                 s_reg_wr_hi_lo_data <= r_reg_lo;
601)                 s_reg_wr_hi_lo_en   <= '1';            
602)             WHEN op_mthi =>
603)                 n_reg_hi <= s_val_s;
604)             WHEN op_mtlo =>
605)                 n_reg_lo <= s_val_s;
Stefan Schuermans implemented multiplier

Stefan Schuermans authored 12 years ago

606)             WHEN op_mult | op_multu =>
Stefan Schuermans moved multiplier to own module

Stefan Schuermans authored 12 years ago

607)                 n_reg_lo <= std_logic_vector(s_mul_res(31 DOWNTO  0));
608)                 n_reg_hi <= std_logic_vector(s_mul_res(63 DOWNTO 32));
Stefan Schuermans implemented divider

Stefan Schuermans authored 12 years ago

609)             WHEN op_div | op_divu =>
610)                 n_reg_lo <= s_div_res;
611)                 n_reg_hi <= s_div_rem;
Stefan Schuermans implemented M T/F HI/LO

Stefan Schuermans authored 12 years ago

612)             WHEN OTHERS => NULL;
613)         END CASE;
614)     END PROCESS p_reg_hi_lo;
615) 
616)     p_sync_reg_hi_lo: PROCESS(clk, rst)
617)     BEGIN
618)         IF rst = '1' THEN
619)             r_reg_lo <= (OTHERS => '0');
620)             r_reg_hi <= (OTHERS => '0');
621)         ELSIF rising_edge(clk) THEN
622)             IF s_stall = '0' THEN
623)                 r_reg_lo <= n_reg_lo;
624)                 r_reg_hi <= n_reg_hi;
625)             END IF;
626)         END IF;
627)     END PROCESS p_sync_reg_hi_lo;
628) 
Stefan Schuermans moved multiplier to own module

Stefan Schuermans authored 12 years ago

629)     s_mul_signed <= '1' WHEN r_op = op_mult ELSE '0';
630)     s_mul_start  <= '1' WHEN i_stall = '0' AND (r_op = op_mult OR r_op = op_multu) ELSE '0';
Stefan Schuermans implemented multiplier

Stefan Schuermans authored 12 years ago

631) 
Stefan Schuermans implemented divider

Stefan Schuermans authored 12 years ago

632)     s_div_signed <= '1' WHEN r_op = op_div ELSE '0';
633)     s_div_start  <= '1' WHEN i_stall = '0' AND (r_op = op_div OR r_op = op_divu) ELSE '0';
634) 
Stefan Schuermans implemented ... and link ->...

Stefan Schuermans authored 12 years ago

635)     p_link: PROCESS(r_pc, r_link)
636)     BEGIN
637)         s_reg_wr_link_no   <= std_logic_vector(to_unsigned(31, 5));
638)         s_reg_wr_link_data <= std_logic_vector(signed(r_pc) + to_signed(4, 32));
639)         IF r_link = link_link THEN
640)             s_reg_wr_link_en <= '1';
641)         ELSE
642)             s_reg_wr_link_en <= '0';
643)         END IF;
644)     END PROCESS p_link;
645)