MIPS I system running on Xilinx Spartan-3A FPGA Starter Kit: VHDL code for processor core very similar to MIPS I and for several peripherals, C firmware to run on this system

Stefan Schuermans Stefan Schuermans replace email address in headers with blinkenarea address 902aa40 @ 2012-05-21 17:42:50
..
.gitignore implemented loading of data memory from firmware 2012-02-12 17:47:50
Makefile replace email address in headers with blinkenarea address 2012-05-21 17:42:50
arp.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
arp.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
boot.s increased code and data address range to 8KB 2012-02-20 15:55:19
checksum.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
checksum.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
config.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
config.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
cyc_cnt.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
cyc_cnt.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
debug.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
debug.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
dhcp.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
dhcp.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
eth.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
eth.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
ethernet.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
ethernet.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
format.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
format.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
icmp.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
icmp.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
ip.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
ip.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
lcd.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
lcd.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
leds.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
leds.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
lnk.cmd progmem 8KB -> 16KB 2012-03-24 19:04:32
macros.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
main.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
memcpy.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
memcpy.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
menu.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
menu.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
nethelp.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
ram.pl implemented loading of data memory from firmware 2012-02-12 17:47:50
random.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
random.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
rom.pl implemented loading of data memory from firmware 2012-02-12 17:47:50
switches.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
switches.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
uart.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
uart.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50
udp.c replace email address in headers with blinkenarea address 2012-05-21 17:42:50
udp.h replace email address in headers with blinkenarea address 2012-05-21 17:42:50