Stefan Schuermans

Stefan Schuermans commited on 2014-09-26 21:25:55
Showing 1 changed files, with 54 additions and 0 deletions.

... ...
@@ -0,0 +1,54 @@
1
+v 20110115 2
2
+T 900 1200 8 10 1 1 0 3 1
3
+refdes=IC?
4
+T 400 6050 5 10 0 0 0 0 1
5
+device=SIM1_SIL4
6
+P 0 900 300 900 1 0 0
7
+{
8
+T 200 950 5 8 1 1 0 6 1
9
+pinnumber=2
10
+T 200 850 5 8 0 1 0 8 1
11
+pinseq=2
12
+T 350 900 9 8 1 1 0 1 1
13
+pinlabel=IN V+
14
+T 350 900 5 8 0 1 0 2 1
15
+pintype=pwr
16
+}
17
+P 1800 900 1500 900 1 0 0
18
+{
19
+T 1600 950 5 8 1 1 0 0 1
20
+pinnumber=4
21
+T 1600 850 5 8 0 1 0 2 1
22
+pinseq=4
23
+T 1450 900 9 8 1 1 0 7 1
24
+pinlabel=OUT V+
25
+T 1450 900 5 8 0 1 0 8 1
26
+pintype=out
27
+}
28
+B 300 300 1200 800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
29
+T 400 7050 5 10 0 0 0 0 1
30
+numslots=0
31
+T 900 200 9 10 1 1 0 5 1
32
+value=SIM1_0000_SIL4
33
+P 0 500 300 500 1 0 0
34
+{
35
+T 200 550 5 8 1 1 0 6 1
36
+pinnumber=1
37
+T 200 450 5 8 0 1 0 8 1
38
+pinseq=1
39
+T 350 500 9 8 1 1 0 1 1
40
+pinlabel=IN V-
41
+T 350 500 5 8 0 1 0 2 1
42
+pintype=pwr
43
+}
44
+P 1800 500 1500 500 1 0 0
45
+{
46
+T 1600 550 5 8 1 1 0 0 1
47
+pinnumber=3
48
+T 1600 450 5 8 0 1 0 2 1
49
+pinseq=3
50
+T 1450 500 9 8 1 1 0 7 1
51
+pinlabel=OUT V-
52
+T 1450 500 5 8 0 1 0 8 1
53
+pintype=out
54
+}
0 55