added file headers
Stefan Schuermans

Stefan Schuermans commited on 2012-04-08 11:54:40
Showing 77 changed files, with 426 additions and 0 deletions.

... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+# MIPS I system
2
+# Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+# Copyleft GNU public license V2 or later
4
+#          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 SRCS=$(wildcard *.c)
2 7
 
3 8
 PERL=perl
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "arp.h"
2 8
 #include "config.h"
3 9
 #include "ethernet.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef ARP_H
2 8
 #define ARP_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "checksum.h"
2 8
 #include "macros.h"
3 9
 #include "nethelp.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef CHECKSUM_H
2 8
 #define CHECKSUM_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "config.h"
2 8
 #include "macros.h"
3 9
 #include "nethelp.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef CONFIG_H
2 8
 #define CONFIG_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "cyc_cnt.h"
2 8
 
3 9
 static volatile unsigned int *const cyc_cnt_ptr =
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef CYC_CNT_H
2 8
 #define CYC_CNT_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "debug.h"
2 8
 #include "format.h"
3 9
 #include "macros.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef DEBUG_H
2 8
 #define DEBUG_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "config.h"
2 8
 #include "checksum.h"
3 9
 #include "dhcp.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef DHCP_H
2 8
 #define DHCP_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "config.h"
2 8
 #include "eth.h"
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef ETH_H
2 8
 #define ETH_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 /* flpDistriR25S - flexipix distributor for pixels round 25mm with switch
2 8
  * version 1.0.0 date 2011-06-12
3 9
  * created by Stefan Schuermans <stefan@schuermans.info>
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef ETHERNET_H
2 8
 #define ETHERNET_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "format.h"
2 8
 
3 9
 /**
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef FORMAT_H
2 8
 #define FORMAT_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "checksum.h"
2 8
 #include "ethernet.h"
3 9
 #include "icmp.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef ICMP_H
2 8
 #define ICMP_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "arp.h"
2 8
 #include "checksum.h"
3 9
 #include "config.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef IP_H
2 8
 #define IP_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "cyc_cnt.h"
2 8
 #include "lcd.h"
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef LCD_H
2 8
 #define LCD_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "leds.h"
2 8
 
3 9
 static volatile unsigned char *const leds_ptr =
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef LEDS_H
2 8
 #define LEDS_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef MACROS_H
2 8
 #define MACROS_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "arp.h"
2 8
 #include "cyc_cnt.h"
3 9
 #include "debug.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "memcpy.h"
2 8
 
3 9
 /**
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef MEMCPY_H
2 8
 #define MEMCPY_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "config.h"
2 8
 #include "eth.h"
3 9
 #include "format.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef MENU_H
2 8
 #define MENU_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef NETHELP_H
2 8
 #define NETHELP_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "random.h"
2 8
 
3 9
 // FIXME: this random number generator is most likely not very good
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef RANDOM_H
2 8
 #define RANDOM_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "switches.h"
2 8
 
3 9
 static volatile unsigned int *const switches_ptr =
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef SWITCHES_H
2 8
 #define SWITCHES_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "uart.h"
2 8
 
3 9
 static volatile unsigned char *const uart_ptr =
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef UART_H
2 8
 #define UART_H
3 9
 
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #include "config.h"
2 8
 #include "checksum.h"
3 9
 #include "ethernet.h"
... ...
@@ -1,3 +1,9 @@
1
+/* MIPS I system
2
+ * Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+ * Copyleft GNU public license V2 or later
4
+ *          http://www.gnu.org/copyleft/gpl.html
5
+ */
6
+
1 7
 #ifndef UDP_H
2 8
 #define UDP_H
3 9
 
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,5 +1,10 @@
1 1
 #! /bin/bash
2 2
 
3
+# MIPS I system
4
+# Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
5
+# Copyleft GNU public license V2 or later
6
+#          http://www.gnu.org/copyleft/gpl.html
7
+
3 8
 cd "$(dirname "$0")"
4 9
 
5 10
 DATA2MEM="/opt/Xilinx/13.4/ISE_DS/ISE/bin/lin64/data2mem"
... ...
@@ -1,5 +1,10 @@
1 1
 #! /bin/bash
2 2
 
3
+# MIPS I system
4
+# Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
5
+# Copyleft GNU public license V2 or later
6
+#          http://www.gnu.org/copyleft/gpl.html
7
+
3 8
 cd "$(dirname "$0")"
4 9
 
5 10
 DATA2MEM="/opt/Xilinx/13.4/ISE_DS/ISE/bin/lin64/data2mem"
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
... ...
@@ -1,5 +1,10 @@
1 1
 #! /usr/bin/perl
2 2
 
3
+# MIPS I system
4
+# Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
5
+# Copyleft GNU public license V2 or later
6
+#          http://www.gnu.org/copyleft/gpl.html
7
+
3 8
 use strict;
4 9
 use warnings;
5 10
 
... ...
@@ -1,5 +1,10 @@
1 1
 #! /usr/bin/perl
2 2
 
3
+# MIPS I system
4
+# Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
5
+# Copyleft GNU public license V2 or later
6
+#          http://www.gnu.org/copyleft/gpl.html
7
+
3 8
 use strict;
4 9
 use warnings;
5 10
 
... ...
@@ -1,5 +1,10 @@
1 1
 #! /usr/bin/perl
2 2
 
3
+# MIPS I system
4
+# Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
5
+# Copyleft GNU public license V2 or later
6
+#          http://www.gnu.org/copyleft/gpl.html
7
+
3 8
 use strict;
4 9
 use warnings;
5 10
 
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY IEEE;
2 7
 USE IEEE.STD_LOGIC_1164.ALL;
3 8
 USE IEEE.NUMERIC_STD.ALL;
... ...
@@ -1,3 +1,8 @@
1
+-- MIPS I system
2
+-- Copyright 2011-2012 Stefan Schuermans <stefan@schuermans.info>
3
+-- Copyleft GNU public license V2 or later
4
+--          http://www.gnu.org/copyleft/gpl.html
5
+
1 6
 LIBRARY ieee;
2 7
 USE ieee.std_logic_1164.all;
3 8
 USE ieee.numeric_std.all;
4 9