BlinkenArea - GitList
Repositories
Blog
Wiki
mips_sys
Code
Commits
Branches
Tags
Search
Tree:
df6d296
Branches
Tags
master
mips_sys
io
lcd_pins.vhd
implemented LCD peripheral
Stefan Schuermans
commited
df6d296
at 2012-02-12 15:31:45
lcd_pins.vhd
Blame
History
Raw
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; PACKAGE io_lcd_pins IS TYPE t_io_lcd_pins IS RECORD data: std_logic_vector(7 DOWNTO 0); e: std_logic; rs: std_logic; rw: std_logic; END RECORD; END PACKAGE io_lcd_pins;