LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.numeric_std.all;PACKAGE io_switches_pins ISTYPE t_io_switches_pins ISRECORDsw: std_logic_vector(3 DOWNTO 0);east: std_logic;north: std_logic;south: std_logic;west: std_logic;center: std_logic;rot_a: std_logic;rot_b: std_logic;END RECORD;END PACKAGE io_switches_pins;