fix UART TX pin
Stefan Schuermans

Stefan Schuermans commited on 2012-02-20 14:16:22
Showing 1 changed files, with 1 additions and 1 deletions.

... ...
@@ -1,2 +1,2 @@
1
-NET "pin_i_uart_rx" LOC = "F16" | IOSTANDARD = LVTTL;
1
+NET "pin_i_uart_rx" LOC = "E16" | IOSTANDARD = LVTTL;
2 2
 NET "pin_o_uart_tx" LOC = "F15" | IOSTANDARD = LVTTL | DRIVE = 4 | SLEW = SLOW;
3 3